verilog.js 24 KB

123456789101112131415161718192021222324252627282930313233343536373839404142434445464748495051525354555657585960616263646566676869707172737475767778798081828384858687888990919293949596979899100101102103104105106107108109110111112113114115116117118119120121122123124125126127128129130131132133134135136137138139140141142143144145146147148149150151152153154155156157158159160161162163164165166167168169170171172173174175176177178179180181182183184185186187188189190191192193194195196197198199200201202203204205206207208209210211212213214215216217218219220221222223224225226227228229230231232233234235236237238239240241242243244245246247248249250251252253254255256257258259260261262263264265266267268269270271272273274275276277278279280281282283284285286287288289290291292293294295296297298299300301302303304305306307308309310311312313314315316317318319320321322323324325326327328329330331332333334335336337338339340341342343344345346347348349350351352353354355356357358359360361362363364365366367368369370371372373374375376377378379380381382383384385386387388389390391392393394395396397398399400401402403404405406407408409410411412413414415416417418419420421422423424425426427428429430431432433434435436437438439440441442443444445446447448449450451452453454455456457458459460461462463464465466467468469470471472473474475476477478479480481482483484485486487488489490491492493494495496497498499500501502503504505506507508509510511512513514515516517518519520521522523524525526527528529530531532533534535536537538539540541542543544545546547548549550551552553554555556557558559560561562563564565566567568569570571572573574575576577578579580581582583584585586587588589590591592593594595596597598599600601602603604605606607608609610611612613614615616617618619620621622623624625626627628629630631632633634635636637638639640641642643644645646647648649650651652653654655656657658659660661662663664665666667668669670671672673674675676677
  1. // CodeMirror, copyright (c) by Marijn Haverbeke and others
  2. // Distributed under an MIT license: https://codemirror.net/LICENSE
  3. (function(mod) {
  4. if (typeof exports == "object" && typeof module == "object") // CommonJS
  5. mod(require("../../lib/codemirror"));
  6. else if (typeof define == "function" && define.amd) // AMD
  7. define(["../../lib/codemirror"], mod);
  8. else // Plain browser env
  9. mod(CodeMirror);
  10. })(function(CodeMirror) {
  11. "use strict";
  12. CodeMirror.defineMode("verilog", function(config, parserConfig) {
  13. var indentUnit = config.indentUnit,
  14. statementIndentUnit = parserConfig.statementIndentUnit || indentUnit,
  15. dontAlignCalls = parserConfig.dontAlignCalls,
  16. noIndentKeywords = parserConfig.noIndentKeywords || [],
  17. multiLineStrings = parserConfig.multiLineStrings,
  18. hooks = parserConfig.hooks || {};
  19. function words(str) {
  20. var obj = {}, words = str.split(" ");
  21. for (var i = 0; i < words.length; ++i) obj[words[i]] = true;
  22. return obj;
  23. }
  24. /**
  25. * Keywords from IEEE 1800-2012
  26. */
  27. var keywords = words(
  28. "accept_on alias always always_comb always_ff always_latch and assert assign assume automatic before begin bind " +
  29. "bins binsof bit break buf bufif0 bufif1 byte case casex casez cell chandle checker class clocking cmos config " +
  30. "const constraint context continue cover covergroup coverpoint cross deassign default defparam design disable " +
  31. "dist do edge else end endcase endchecker endclass endclocking endconfig endfunction endgenerate endgroup " +
  32. "endinterface endmodule endpackage endprimitive endprogram endproperty endspecify endsequence endtable endtask " +
  33. "enum event eventually expect export extends extern final first_match for force foreach forever fork forkjoin " +
  34. "function generate genvar global highz0 highz1 if iff ifnone ignore_bins illegal_bins implements implies import " +
  35. "incdir include initial inout input inside instance int integer interconnect interface intersect join join_any " +
  36. "join_none large let liblist library local localparam logic longint macromodule matches medium modport module " +
  37. "nand negedge nettype new nexttime nmos nor noshowcancelled not notif0 notif1 null or output package packed " +
  38. "parameter pmos posedge primitive priority program property protected pull0 pull1 pulldown pullup " +
  39. "pulsestyle_ondetect pulsestyle_onevent pure rand randc randcase randsequence rcmos real realtime ref reg " +
  40. "reject_on release repeat restrict return rnmos rpmos rtran rtranif0 rtranif1 s_always s_eventually s_nexttime " +
  41. "s_until s_until_with scalared sequence shortint shortreal showcancelled signed small soft solve specify " +
  42. "specparam static string strong strong0 strong1 struct super supply0 supply1 sync_accept_on sync_reject_on " +
  43. "table tagged task this throughout time timeprecision timeunit tran tranif0 tranif1 tri tri0 tri1 triand trior " +
  44. "trireg type typedef union unique unique0 unsigned until until_with untyped use uwire var vectored virtual void " +
  45. "wait wait_order wand weak weak0 weak1 while wildcard wire with within wor xnor xor");
  46. /** Operators from IEEE 1800-2012
  47. unary_operator ::=
  48. + | - | ! | ~ | & | ~& | | | ~| | ^ | ~^ | ^~
  49. binary_operator ::=
  50. + | - | * | / | % | == | != | === | !== | ==? | !=? | && | || | **
  51. | < | <= | > | >= | & | | | ^ | ^~ | ~^ | >> | << | >>> | <<<
  52. | -> | <->
  53. inc_or_dec_operator ::= ++ | --
  54. unary_module_path_operator ::=
  55. ! | ~ | & | ~& | | | ~| | ^ | ~^ | ^~
  56. binary_module_path_operator ::=
  57. == | != | && | || | & | | | ^ | ^~ | ~^
  58. */
  59. var isOperatorChar = /[\+\-\*\/!~&|^%=?:]/;
  60. var isBracketChar = /[\[\]{}()]/;
  61. var unsignedNumber = /\d[0-9_]*/;
  62. var decimalLiteral = /\d*\s*'s?d\s*\d[0-9_]*/i;
  63. var binaryLiteral = /\d*\s*'s?b\s*[xz01][xz01_]*/i;
  64. var octLiteral = /\d*\s*'s?o\s*[xz0-7][xz0-7_]*/i;
  65. var hexLiteral = /\d*\s*'s?h\s*[0-9a-fxz?][0-9a-fxz?_]*/i;
  66. var realLiteral = /(\d[\d_]*(\.\d[\d_]*)?E-?[\d_]+)|(\d[\d_]*\.\d[\d_]*)/i;
  67. var closingBracketOrWord = /^((\w+)|[)}\]])/;
  68. var closingBracket = /[)}\]]/;
  69. var curPunc;
  70. var curKeyword;
  71. // Block openings which are closed by a matching keyword in the form of ("end" + keyword)
  72. // E.g. "task" => "endtask"
  73. var blockKeywords = words(
  74. "case checker class clocking config function generate interface module package " +
  75. "primitive program property specify sequence table task"
  76. );
  77. // Opening/closing pairs
  78. var openClose = {};
  79. for (var keyword in blockKeywords) {
  80. openClose[keyword] = "end" + keyword;
  81. }
  82. openClose["begin"] = "end";
  83. openClose["casex"] = "endcase";
  84. openClose["casez"] = "endcase";
  85. openClose["do" ] = "while";
  86. openClose["fork" ] = "join;join_any;join_none";
  87. openClose["covergroup"] = "endgroup";
  88. for (var i in noIndentKeywords) {
  89. var keyword = noIndentKeywords[i];
  90. if (openClose[keyword]) {
  91. openClose[keyword] = undefined;
  92. }
  93. }
  94. // Keywords which open statements that are ended with a semi-colon
  95. var statementKeywords = words("always always_comb always_ff always_latch assert assign assume else export for foreach forever if import initial repeat while");
  96. function tokenBase(stream, state) {
  97. var ch = stream.peek(), style;
  98. if (hooks[ch] && (style = hooks[ch](stream, state)) != false) return style;
  99. if (hooks.tokenBase && (style = hooks.tokenBase(stream, state)) != false)
  100. return style;
  101. if (/[,;:\.]/.test(ch)) {
  102. curPunc = stream.next();
  103. return null;
  104. }
  105. if (isBracketChar.test(ch)) {
  106. curPunc = stream.next();
  107. return "bracket";
  108. }
  109. // Macros (tick-defines)
  110. if (ch == '`') {
  111. stream.next();
  112. if (stream.eatWhile(/[\w\$_]/)) {
  113. return "def";
  114. } else {
  115. return null;
  116. }
  117. }
  118. // System calls
  119. if (ch == '$') {
  120. stream.next();
  121. if (stream.eatWhile(/[\w\$_]/)) {
  122. return "meta";
  123. } else {
  124. return null;
  125. }
  126. }
  127. // Time literals
  128. if (ch == '#') {
  129. stream.next();
  130. stream.eatWhile(/[\d_.]/);
  131. return "def";
  132. }
  133. // Strings
  134. if (ch == '"') {
  135. stream.next();
  136. state.tokenize = tokenString(ch);
  137. return state.tokenize(stream, state);
  138. }
  139. // Comments
  140. if (ch == "/") {
  141. stream.next();
  142. if (stream.eat("*")) {
  143. state.tokenize = tokenComment;
  144. return tokenComment(stream, state);
  145. }
  146. if (stream.eat("/")) {
  147. stream.skipToEnd();
  148. return "comment";
  149. }
  150. stream.backUp(1);
  151. }
  152. // Numeric literals
  153. if (stream.match(realLiteral) ||
  154. stream.match(decimalLiteral) ||
  155. stream.match(binaryLiteral) ||
  156. stream.match(octLiteral) ||
  157. stream.match(hexLiteral) ||
  158. stream.match(unsignedNumber) ||
  159. stream.match(realLiteral)) {
  160. return "number";
  161. }
  162. // Operators
  163. if (stream.eatWhile(isOperatorChar)) {
  164. return "meta";
  165. }
  166. // Keywords / plain variables
  167. if (stream.eatWhile(/[\w\$_]/)) {
  168. var cur = stream.current();
  169. if (keywords[cur]) {
  170. if (openClose[cur]) {
  171. curPunc = "newblock";
  172. }
  173. if (statementKeywords[cur]) {
  174. curPunc = "newstatement";
  175. }
  176. curKeyword = cur;
  177. return "keyword";
  178. }
  179. return "variable";
  180. }
  181. stream.next();
  182. return null;
  183. }
  184. function tokenString(quote) {
  185. return function(stream, state) {
  186. var escaped = false, next, end = false;
  187. while ((next = stream.next()) != null) {
  188. if (next == quote && !escaped) {end = true; break;}
  189. escaped = !escaped && next == "\\";
  190. }
  191. if (end || !(escaped || multiLineStrings))
  192. state.tokenize = tokenBase;
  193. return "string";
  194. };
  195. }
  196. function tokenComment(stream, state) {
  197. var maybeEnd = false, ch;
  198. while (ch = stream.next()) {
  199. if (ch == "/" && maybeEnd) {
  200. state.tokenize = tokenBase;
  201. break;
  202. }
  203. maybeEnd = (ch == "*");
  204. }
  205. return "comment";
  206. }
  207. function Context(indented, column, type, align, prev) {
  208. this.indented = indented;
  209. this.column = column;
  210. this.type = type;
  211. this.align = align;
  212. this.prev = prev;
  213. }
  214. function pushContext(state, col, type) {
  215. var indent = state.indented;
  216. var c = new Context(indent, col, type, null, state.context);
  217. return state.context = c;
  218. }
  219. function popContext(state) {
  220. var t = state.context.type;
  221. if (t == ")" || t == "]" || t == "}") {
  222. state.indented = state.context.indented;
  223. }
  224. return state.context = state.context.prev;
  225. }
  226. function isClosing(text, contextClosing) {
  227. if (text == contextClosing) {
  228. return true;
  229. } else {
  230. // contextClosing may be multiple keywords separated by ;
  231. var closingKeywords = contextClosing.split(";");
  232. for (var i in closingKeywords) {
  233. if (text == closingKeywords[i]) {
  234. return true;
  235. }
  236. }
  237. return false;
  238. }
  239. }
  240. function buildElectricInputRegEx() {
  241. // Reindentation should occur on any bracket char: {}()[]
  242. // or on a match of any of the block closing keywords, at
  243. // the end of a line
  244. var allClosings = [];
  245. for (var i in openClose) {
  246. if (openClose[i]) {
  247. var closings = openClose[i].split(";");
  248. for (var j in closings) {
  249. allClosings.push(closings[j]);
  250. }
  251. }
  252. }
  253. var re = new RegExp("[{}()\\[\\]]|(" + allClosings.join("|") + ")$");
  254. return re;
  255. }
  256. // Interface
  257. return {
  258. // Regex to force current line to reindent
  259. electricInput: buildElectricInputRegEx(),
  260. startState: function(basecolumn) {
  261. var state = {
  262. tokenize: null,
  263. context: new Context((basecolumn || 0) - indentUnit, 0, "top", false),
  264. indented: 0,
  265. startOfLine: true
  266. };
  267. if (hooks.startState) hooks.startState(state);
  268. return state;
  269. },
  270. token: function(stream, state) {
  271. var ctx = state.context;
  272. if (stream.sol()) {
  273. if (ctx.align == null) ctx.align = false;
  274. state.indented = stream.indentation();
  275. state.startOfLine = true;
  276. }
  277. if (hooks.token) {
  278. // Call hook, with an optional return value of a style to override verilog styling.
  279. var style = hooks.token(stream, state);
  280. if (style !== undefined) {
  281. return style;
  282. }
  283. }
  284. if (stream.eatSpace()) return null;
  285. curPunc = null;
  286. curKeyword = null;
  287. var style = (state.tokenize || tokenBase)(stream, state);
  288. if (style == "comment" || style == "meta" || style == "variable") return style;
  289. if (ctx.align == null) ctx.align = true;
  290. if (curPunc == ctx.type) {
  291. popContext(state);
  292. } else if ((curPunc == ";" && ctx.type == "statement") ||
  293. (ctx.type && isClosing(curKeyword, ctx.type))) {
  294. ctx = popContext(state);
  295. while (ctx && ctx.type == "statement") ctx = popContext(state);
  296. } else if (curPunc == "{") {
  297. pushContext(state, stream.column(), "}");
  298. } else if (curPunc == "[") {
  299. pushContext(state, stream.column(), "]");
  300. } else if (curPunc == "(") {
  301. pushContext(state, stream.column(), ")");
  302. } else if (ctx && ctx.type == "endcase" && curPunc == ":") {
  303. pushContext(state, stream.column(), "statement");
  304. } else if (curPunc == "newstatement") {
  305. pushContext(state, stream.column(), "statement");
  306. } else if (curPunc == "newblock") {
  307. if (curKeyword == "function" && ctx && (ctx.type == "statement" || ctx.type == "endgroup")) {
  308. // The 'function' keyword can appear in some other contexts where it actually does not
  309. // indicate a function (import/export DPI and covergroup definitions).
  310. // Do nothing in this case
  311. } else if (curKeyword == "task" && ctx && ctx.type == "statement") {
  312. // Same thing for task
  313. } else {
  314. var close = openClose[curKeyword];
  315. pushContext(state, stream.column(), close);
  316. }
  317. }
  318. state.startOfLine = false;
  319. return style;
  320. },
  321. indent: function(state, textAfter) {
  322. if (state.tokenize != tokenBase && state.tokenize != null) return CodeMirror.Pass;
  323. if (hooks.indent) {
  324. var fromHook = hooks.indent(state);
  325. if (fromHook >= 0) return fromHook;
  326. }
  327. var ctx = state.context, firstChar = textAfter && textAfter.charAt(0);
  328. if (ctx.type == "statement" && firstChar == "}") ctx = ctx.prev;
  329. var closing = false;
  330. var possibleClosing = textAfter.match(closingBracketOrWord);
  331. if (possibleClosing)
  332. closing = isClosing(possibleClosing[0], ctx.type);
  333. if (ctx.type == "statement") return ctx.indented + (firstChar == "{" ? 0 : statementIndentUnit);
  334. else if (closingBracket.test(ctx.type) && ctx.align && !dontAlignCalls) return ctx.column + (closing ? 0 : 1);
  335. else if (ctx.type == ")" && !closing) return ctx.indented + statementIndentUnit;
  336. else return ctx.indented + (closing ? 0 : indentUnit);
  337. },
  338. blockCommentStart: "/*",
  339. blockCommentEnd: "*/",
  340. lineComment: "//",
  341. fold: "indent"
  342. };
  343. });
  344. CodeMirror.defineMIME("text/x-verilog", {
  345. name: "verilog"
  346. });
  347. CodeMirror.defineMIME("text/x-systemverilog", {
  348. name: "verilog"
  349. });
  350. // TL-Verilog mode.
  351. // See tl-x.org for language spec.
  352. // See the mode in action at makerchip.com.
  353. // Contact: steve.hoover@redwoodeda.com
  354. // TLV Identifier prefixes.
  355. // Note that sign is not treated separately, so "+/-" versions of numeric identifiers
  356. // are included.
  357. var tlvIdentifierStyle = {
  358. "|": "link",
  359. ">": "property", // Should condition this off for > TLV 1c.
  360. "$": "variable",
  361. "$$": "variable",
  362. "?$": "qualifier",
  363. "?*": "qualifier",
  364. "-": "hr",
  365. "/": "property",
  366. "/-": "property",
  367. "@": "variable-3",
  368. "@-": "variable-3",
  369. "@++": "variable-3",
  370. "@+=": "variable-3",
  371. "@+=-": "variable-3",
  372. "@--": "variable-3",
  373. "@-=": "variable-3",
  374. "%+": "tag",
  375. "%-": "tag",
  376. "%": "tag",
  377. ">>": "tag",
  378. "<<": "tag",
  379. "<>": "tag",
  380. "#": "tag", // Need to choose a style for this.
  381. "^": "attribute",
  382. "^^": "attribute",
  383. "^!": "attribute",
  384. "*": "variable-2",
  385. "**": "variable-2",
  386. "\\": "keyword",
  387. "\"": "comment"
  388. };
  389. // Lines starting with these characters define scope (result in indentation).
  390. var tlvScopePrefixChars = {
  391. "/": "beh-hier",
  392. ">": "beh-hier",
  393. "-": "phys-hier",
  394. "|": "pipe",
  395. "?": "when",
  396. "@": "stage",
  397. "\\": "keyword"
  398. };
  399. var tlvIndentUnit = 3;
  400. var tlvTrackStatements = false;
  401. var tlvIdentMatch = /^([~!@#\$%\^&\*-\+=\?\/\\\|'"<>]+)([\d\w_]*)/; // Matches an identifiere.
  402. // Note that ':' is excluded, because of it's use in [:].
  403. var tlvFirstLevelIndentMatch = /^[! ] /;
  404. var tlvLineIndentationMatch = /^[! ] */;
  405. var tlvCommentMatch = /^\/[\/\*]/;
  406. // Returns a style specific to the scope at the given indentation column.
  407. // Type is one of: "indent", "scope-ident", "before-scope-ident".
  408. function tlvScopeStyle(state, indentation, type) {
  409. // Begin scope.
  410. var depth = indentation / tlvIndentUnit; // TODO: Pass this in instead.
  411. return "tlv-" + state.tlvIndentationStyle[depth] + "-" + type;
  412. }
  413. // Return true if the next thing in the stream is an identifier with a mnemonic.
  414. function tlvIdentNext(stream) {
  415. var match;
  416. return (match = stream.match(tlvIdentMatch, false)) && match[2].length > 0;
  417. }
  418. CodeMirror.defineMIME("text/x-tlv", {
  419. name: "verilog",
  420. hooks: {
  421. electricInput: false,
  422. // Return undefined for verilog tokenizing, or style for TLV token (null not used).
  423. // Standard CM styles are used for most formatting, but some TL-Verilog-specific highlighting
  424. // can be enabled with the definition of cm-tlv-* styles, including highlighting for:
  425. // - M4 tokens
  426. // - TLV scope indentation
  427. // - Statement delimitation (enabled by tlvTrackStatements)
  428. token: function(stream, state) {
  429. var style = undefined;
  430. var match; // Return value of pattern matches.
  431. // Set highlighting mode based on code region (TLV or SV).
  432. if (stream.sol() && ! state.tlvInBlockComment) {
  433. // Process region.
  434. if (stream.peek() == '\\') {
  435. style = "def";
  436. stream.skipToEnd();
  437. if (stream.string.match(/\\SV/)) {
  438. state.tlvCodeActive = false;
  439. } else if (stream.string.match(/\\TLV/)){
  440. state.tlvCodeActive = true;
  441. }
  442. }
  443. // Correct indentation in the face of a line prefix char.
  444. if (state.tlvCodeActive && stream.pos == 0 &&
  445. (state.indented == 0) && (match = stream.match(tlvLineIndentationMatch, false))) {
  446. state.indented = match[0].length;
  447. }
  448. // Compute indentation state:
  449. // o Auto indentation on next line
  450. // o Indentation scope styles
  451. var indented = state.indented;
  452. var depth = indented / tlvIndentUnit;
  453. if (depth <= state.tlvIndentationStyle.length) {
  454. // not deeper than current scope
  455. var blankline = stream.string.length == indented;
  456. var chPos = depth * tlvIndentUnit;
  457. if (chPos < stream.string.length) {
  458. var bodyString = stream.string.slice(chPos);
  459. var ch = bodyString[0];
  460. if (tlvScopePrefixChars[ch] && ((match = bodyString.match(tlvIdentMatch)) &&
  461. tlvIdentifierStyle[match[1]])) {
  462. // This line begins scope.
  463. // Next line gets indented one level.
  464. indented += tlvIndentUnit;
  465. // Style the next level of indentation (except non-region keyword identifiers,
  466. // which are statements themselves)
  467. if (!(ch == "\\" && chPos > 0)) {
  468. state.tlvIndentationStyle[depth] = tlvScopePrefixChars[ch];
  469. if (tlvTrackStatements) {state.statementComment = false;}
  470. depth++;
  471. }
  472. }
  473. }
  474. // Clear out deeper indentation levels unless line is blank.
  475. if (!blankline) {
  476. while (state.tlvIndentationStyle.length > depth) {
  477. state.tlvIndentationStyle.pop();
  478. }
  479. }
  480. }
  481. // Set next level of indentation.
  482. state.tlvNextIndent = indented;
  483. }
  484. if (state.tlvCodeActive) {
  485. // Highlight as TLV.
  486. var beginStatement = false;
  487. if (tlvTrackStatements) {
  488. // This starts a statement if the position is at the scope level
  489. // and we're not within a statement leading comment.
  490. beginStatement =
  491. (stream.peek() != " ") && // not a space
  492. (style === undefined) && // not a region identifier
  493. !state.tlvInBlockComment && // not in block comment
  494. //!stream.match(tlvCommentMatch, false) && // not comment start
  495. (stream.column() == state.tlvIndentationStyle.length * tlvIndentUnit); // at scope level
  496. if (beginStatement) {
  497. if (state.statementComment) {
  498. // statement already started by comment
  499. beginStatement = false;
  500. }
  501. state.statementComment =
  502. stream.match(tlvCommentMatch, false); // comment start
  503. }
  504. }
  505. var match;
  506. if (style !== undefined) {
  507. // Region line.
  508. style += " " + tlvScopeStyle(state, 0, "scope-ident")
  509. } else if (((stream.pos / tlvIndentUnit) < state.tlvIndentationStyle.length) &&
  510. (match = stream.match(stream.sol() ? tlvFirstLevelIndentMatch : /^ /))) {
  511. // Indentation
  512. style = // make this style distinct from the previous one to prevent
  513. // codemirror from combining spans
  514. "tlv-indent-" + (((stream.pos % 2) == 0) ? "even" : "odd") +
  515. // and style it
  516. " " + tlvScopeStyle(state, stream.pos - tlvIndentUnit, "indent");
  517. // Style the line prefix character.
  518. if (match[0].charAt(0) == "!") {
  519. style += " tlv-alert-line-prefix";
  520. }
  521. // Place a class before a scope identifier.
  522. if (tlvIdentNext(stream)) {
  523. style += " " + tlvScopeStyle(state, stream.pos, "before-scope-ident");
  524. }
  525. } else if (state.tlvInBlockComment) {
  526. // In a block comment.
  527. if (stream.match(/^.*?\*\//)) {
  528. // Exit block comment.
  529. state.tlvInBlockComment = false;
  530. if (tlvTrackStatements && !stream.eol()) {
  531. // Anything after comment is assumed to be real statement content.
  532. state.statementComment = false;
  533. }
  534. } else {
  535. stream.skipToEnd();
  536. }
  537. style = "comment";
  538. } else if ((match = stream.match(tlvCommentMatch)) && !state.tlvInBlockComment) {
  539. // Start comment.
  540. if (match[0] == "//") {
  541. // Line comment.
  542. stream.skipToEnd();
  543. } else {
  544. // Block comment.
  545. state.tlvInBlockComment = true;
  546. }
  547. style = "comment";
  548. } else if (match = stream.match(tlvIdentMatch)) {
  549. // looks like an identifier (or identifier prefix)
  550. var prefix = match[1];
  551. var mnemonic = match[2];
  552. if (// is identifier prefix
  553. tlvIdentifierStyle.hasOwnProperty(prefix) &&
  554. // has mnemonic or we're at the end of the line (maybe it hasn't been typed yet)
  555. (mnemonic.length > 0 || stream.eol())) {
  556. style = tlvIdentifierStyle[prefix];
  557. if (stream.column() == state.indented) {
  558. // Begin scope.
  559. style += " " + tlvScopeStyle(state, stream.column(), "scope-ident")
  560. }
  561. } else {
  562. // Just swallow one character and try again.
  563. // This enables subsequent identifier match with preceding symbol character, which
  564. // is legal within a statement. (Eg, !$reset). It also enables detection of
  565. // comment start with preceding symbols.
  566. stream.backUp(stream.current().length - 1);
  567. style = "tlv-default";
  568. }
  569. } else if (stream.match(/^\t+/)) {
  570. // Highlight tabs, which are illegal.
  571. style = "tlv-tab";
  572. } else if (stream.match(/^[\[\]{}\(\);\:]+/)) {
  573. // [:], (), {}, ;.
  574. style = "meta";
  575. } else if (match = stream.match(/^[mM]4([\+_])?[\w\d_]*/)) {
  576. // m4 pre proc
  577. style = (match[1] == "+") ? "tlv-m4-plus" : "tlv-m4";
  578. } else if (stream.match(/^ +/)){
  579. // Skip over spaces.
  580. if (stream.eol()) {
  581. // Trailing spaces.
  582. style = "error";
  583. } else {
  584. // Non-trailing spaces.
  585. style = "tlv-default";
  586. }
  587. } else if (stream.match(/^[\w\d_]+/)) {
  588. // alpha-numeric token.
  589. style = "number";
  590. } else {
  591. // Eat the next char w/ no formatting.
  592. stream.next();
  593. style = "tlv-default";
  594. }
  595. if (beginStatement) {
  596. style += " tlv-statement";
  597. }
  598. } else {
  599. if (stream.match(/^[mM]4([\w\d_]*)/)) {
  600. // m4 pre proc
  601. style = "tlv-m4";
  602. }
  603. }
  604. return style;
  605. },
  606. indent: function(state) {
  607. return (state.tlvCodeActive == true) ? state.tlvNextIndent : -1;
  608. },
  609. startState: function(state) {
  610. state.tlvIndentationStyle = []; // Styles to use for each level of indentation.
  611. state.tlvCodeActive = true; // True when we're in a TLV region (and at beginning of file).
  612. state.tlvNextIndent = -1; // The number of spaces to autoindent the next line if tlvCodeActive.
  613. state.tlvInBlockComment = false; // True inside /**/ comment.
  614. if (tlvTrackStatements) {
  615. state.statementComment = false; // True inside a statement's header comment.
  616. }
  617. }
  618. }
  619. });
  620. });